SAN JOSE, Calif., Nov. 2, 2015 /PRNewswire/ -- Signaling a new era in design productivity for a new generation of programmable logic devices, Altera Corporation (Nasdaq: ALTR) today released the Quartus® Prime design software. Altera's new software environment builds upon the company's proven, user-friendly Quartus II software and incorporates the new productivity-centric Spectra-Q™ engine. The new Quartus Prime design software is optimized to enhance the FPGA and SoC FPGA design process by reducing design iterations, delivering the industry's fastest compile times, and accelerating silicon performance.

Altera's new software environment builds upon the company's proven, user-friendly Quartus II software and incorporates the new productivity-centric Spectra-Q engine.

"Our software tools are known throughout the industry for providing the highest levels of performance and productivity," said Alex Grbic, senior director of software and IP marketing at Altera. "The Quartus Prime design software extends Altera's leadership by building upon decades of software innovations to provide our customers with new levels of performance and productivity for programmable logic devices."

Quartus Prime design software users will experience the same easy-to-use front-end user interface as the previous software version; while the addition of the Spectra-Q engine on the back-end enables unprecedented compile time improvements and increased design performance with a new set of faster and more scalable algorithms. The engine also features a hierarchical database that preserves placement and routing of IP blocks to ensure stable designs, while eliminating unnecessary timing closure efforts and reducing compile times. Additional information about the Spectra-Q engine can be found at www.altera.com/spectraq. 

Working with early access customers, the Quartus Prime design software demonstrated significantly higher design performance and designer productivity in multiple Arria® 10 designs. With the release of the Quartus Prime design software version 15.1, customers targeting Arria 10 designs will experience:

  • A full speed-grade advantage on average over the previous software version with the new Hybrid Placer and Global Router algorithms.
  • Up to 10X faster IO design with the new BluePrint Platform Designer.
  • Up to 4X faster compile times using the software's new Rapid Recompile feature.
  • Expanded hardware description language support, including SystemVerilog-2005 and VHDL-2008.

Additional information about the features in the Quartus Prime design software version 15.1 can be found at www.altera.com/whatsnew.

Quartus Prime Design Software Licensing Model
Quartus Prime design software is offered in three editions based on customers' design requirements. The Quartus Prime Pro Edition offers the latest performance and productivity tools that support Altera's latest high-performance FPGAs and SoC FPGAs. The Quartus Prime Standard Edition supports devices in Altera's new product category and the Quartus Prime Lite Edition support Altera's high-volume device families. The Pro and Standard editions require an annual software license, while the Lite edition is available as a free download with no license file required.

Access to Altera's Extensive IP Ecosystem
The Quartus Prime design software provides users access to Altera's extensive collection of intellectual property (IP) cores. Additions and enhancements in the latest software release include four new Forward Error Correction (FEC) DSP cores, a new 2.5G dynamic rate change option to the low-latency 10G Ethernet MAC and the 1G/2.5G/10G multi-rate Ethernet PHY, as well as improved usability features for external memory interface IP. New dynamically generated and configurable hardware design examples are also included, which simplify IP evaluation on hardware to further improve designer productivity. More information about Altera's IP solutions can be found at the "what's new in IP" web page.

Availability and Pricing
The Quartus Prime design software is now available for download. The Quartus Prime Pro and Standard editions come with the ModelSim®-Altera Starter edition software and a full license to the IP Base Suite. The annual software license is $2,995 for a node-locked PC license and is available for purchase at Altera's eStore.

About Altera
Altera® programmable solutions enable designers of electronic systems to rapidly and cost effectively innovate, differentiate and win in their markets. Altera offers FPGA, SoC, CPLD products, and complementary technologies, such as power solutions, to provide high-value solutions to customers worldwide. www.altera.com.

ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/legal.

Editor Contact:
Steve Gabriel
Altera Corporation
(408) 544-6846
newsroom@altera.com

Altera(R) programmable solutions enable designers of electronic systems to rapidly and cost effectively innovate, differentiate and win in their markets. Altera offers FPGAs, SoCs, CPLDs, ASICs and complementary technologies, such as power management, to provide high-value solutions to customers worldwide.

Photo - http://photos.prnewswire.com/prnh/20151029/282091

Logo - http://photos.prnewswire.com/prnh/20101012/SF78952LOGO

 

To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/alteras-new-quartus-prime-design-software-extends-leadership-in-design-performance-and-productivity-300169306.html

SOURCE Altera Corporation

Copyright 2015 PR Newswire

Altair Engineering (NASDAQ:ALTR)
Historical Stock Chart
From Mar 2024 to Apr 2024 Click Here for more Altair Engineering Charts.
Altair Engineering (NASDAQ:ALTR)
Historical Stock Chart
From Apr 2023 to Apr 2024 Click Here for more Altair Engineering Charts.